On Optimal Interconnections for VLSI

On Optimal Interconnections for VLSI
Author: Andrew B. Kahng,Gabriel Robins
Publsiher: Springer Science & Business Media
Total Pages: 301
Release: 2013-04-17
Genre: Technology & Engineering
ISBN: 9781475723632

Download On Optimal Interconnections for VLSI Book in PDF, Epub and Kindle

On Optimal Interconnections for VLSI describes, from a geometric perspective, algorithms for high-performance, high-density interconnections during the global and detailed routing phases of circuit layout. First, the book addresses area minimization, with a focus on near-optimal approximation algorithms for minimum-cost Steiner routing. In addition to practical implementations of recent methods, the implications of recent results on spanning tree degree bounds and the method of Zelikovsky are discussed. Second, the book addresses delay minimization, starting with a discussion of accurate, yet algorithmically tractable, delay models. Recent minimum-delay constructions are highlighted, including provably good cost-radius tradeoffs, critical-sink routing algorithms, Elmore delay-optimal routing, graph Steiner arborescences, non-tree routing, and wiresizing. Third, the book addresses skew minimization for clock routing and prescribed-delay routing formulations. The discussion starts with early matching-based constructions and goes on to treat zero-skew routing with provably minimum wirelength, as well as planar clock routing. Finally, the book concludes with a discussion of multiple (competing) objectives, i.e., how to optimize area, delay, skew, and other objectives simultaneously. These techniques are useful when the routing instance has heterogeneous resources or is highly congested, as in FPGA routing, multi-chip packaging, and very dense layouts. Throughout the book, the emphasis is on practical algorithms and a complete self-contained development. On Optimal Interconnections for VLSI will be of use to both circuit designers (CAD tool users) as well as researchers and developers in the area of performance-driven physical design.

Optimal Interconnection Trees in the Plane

Optimal Interconnection Trees in the Plane
Author: Marcus Brazil,Martin Zachariasen
Publsiher: Springer
Total Pages: 344
Release: 2015-04-13
Genre: Mathematics
ISBN: 9783319139159

Download Optimal Interconnection Trees in the Plane Book in PDF, Epub and Kindle

This book explores fundamental aspects of geometric network optimisation with applications to a variety of real world problems. It presents, for the first time in the literature, a cohesive mathematical framework within which the properties of such optimal interconnection networks can be understood across a wide range of metrics and cost functions. The book makes use of this mathematical theory to develop efficient algorithms for constructing such networks, with an emphasis on exact solutions. Marcus Brazil and Martin Zachariasen focus principally on the geometric structure of optimal interconnection networks, also known as Steiner trees, in the plane. They show readers how an understanding of this structure can lead to practical exact algorithms for constructing such trees. The book also details numerous breakthroughs in this area over the past 20 years, features clearly written proofs, and is supported by 135 colour and 15 black and white figures. It will help graduate students, working mathematicians, engineers and computer scientists to understand the principles required for designing interconnection networks in the plane that are as cost efficient as possible.

Layout Optimization in VLSI Design

Layout Optimization in VLSI Design
Author: Bing Lu,Ding-Zhu Du,S. Sapatnekar
Publsiher: Springer Science & Business Media
Total Pages: 292
Release: 2013-06-29
Genre: Computers
ISBN: 9781475734157

Download Layout Optimization in VLSI Design Book in PDF, Epub and Kindle

Introduction The exponential scaling of feature sizes in semiconductor technologies has side-effects on layout optimization, related to effects such as inter connect delay, noise and crosstalk, signal integrity, parasitics effects, and power dissipation, that invalidate the assumptions that form the basis of previous design methodologies and tools. This book is intended to sample the most important, contemporary, and advanced layout opti mization problems emerging with the advent of very deep submicron technologies in semiconductor processing. We hope that it will stimulate more people to perform research that leads to advances in the design and development of more efficient, effective, and elegant algorithms and design tools. Organization of the Book The book is organized as follows. A multi-stage simulated annealing algorithm that integrates floorplanning and interconnect planning is pre sented in Chapter 1. To reduce the run time, different interconnect plan ning approaches are applied in different ranges of temperatures. Chapter 2 introduces a new design methodology - the interconnect-centric design methodology and its centerpiece, interconnect planning, which consists of physical hierarchy generation, floorplanning with interconnect planning, and interconnect architecture planning. Chapter 3 investigates a net-cut minimization based placement tool, Dragon, which integrates the state of the art partitioning and placement techniques.

VLSI Interconnect Performance Optimization and Planning

VLSI Interconnect Performance Optimization and Planning
Author: Jiang Hu
Publsiher: Unknown
Total Pages: 346
Release: 2001
Genre: Electronic Book
ISBN: MINN:31951P00706274Z

Download VLSI Interconnect Performance Optimization and Planning Book in PDF, Epub and Kindle

Copper Interconnect Technology

Copper Interconnect Technology
Author: Tapan Gupta
Publsiher: Springer Science & Business Media
Total Pages: 423
Release: 2010-01-22
Genre: Technology & Engineering
ISBN: 9781441900760

Download Copper Interconnect Technology Book in PDF, Epub and Kindle

Since overall circuit performance has depended primarily on transistor properties, previous efforts to enhance circuit and system speed were focused on transistors as well. During the last decade, however, the parasitic resistance, capacitance, and inductance associated with interconnections began to influence circuit performance and will be the primary factors in the evolution of nanoscale ULSI technology. Because metallic conductivity and resistance to electromigration of bulk copper (Cu) are better than aluminum, use of copper and low-k materials is now prevalent in the international microelectronics industry. As the feature size of the Cu-lines forming interconnects is scaled, resistivity of the lines increases. At the same time electromigration and stress-induced voids due to increased current density become significant reliability issues. Although copper/low-k technology has become fairly mature, there is no single book available on the promise and challenges of these next-generation technologies. In this book, a leader in the field describes advanced laser systems with lower radiation wavelengths, photolithography materials, and mathematical modeling approaches to address the challenges of Cu-interconnect technology.

On Chip Communication Architectures

On Chip Communication Architectures
Author: Sudeep Pasricha,Nikil Dutt
Publsiher: Morgan Kaufmann
Total Pages: 544
Release: 2010-07-28
Genre: Technology & Engineering
ISBN: 0080558283

Download On Chip Communication Architectures Book in PDF, Epub and Kindle

Over the past decade, system-on-chip (SoC) designs have evolved to address the ever increasing complexity of applications, fueled by the era of digital convergence. Improvements in process technology have effectively shrunk board-level components so they can be integrated on a single chip. New on-chip communication architectures have been designed to support all inter-component communication in a SoC design. These communication architecture fabrics have a critical impact on the power consumption, performance, cost and design cycle time of modern SoC designs. As application complexity strains the communication backbone of SoC designs, academic and industrial R&D efforts and dollars are increasingly focused on communication architecture design. On-Chip Communication Architecures is a comprehensive reference on concepts, research and trends in on-chip communication architecture design. It will provide readers with a comprehensive survey, not available elsewhere, of all current standards for on-chip communication architectures. A definitive guide to on-chip communication architectures, explaining key concepts, surveying research efforts and predicting future trends Detailed analysis of all popular standards for on-chip communication architectures Comprehensive survey of all research on communication architectures, covering a wide range of topics relevant to this area, spanning the past several years, and up to date with the most current research efforts Future trends that with have a significant impact on research and design of communication architectures over the next several years

Timing Analysis and Optimization of Sequential Circuits

Timing Analysis and Optimization of Sequential Circuits
Author: Naresh Maheshwari,S. Sapatnekar
Publsiher: Springer Science & Business Media
Total Pages: 202
Release: 2012-12-06
Genre: Technology & Engineering
ISBN: 9781461556374

Download Timing Analysis and Optimization of Sequential Circuits Book in PDF, Epub and Kindle

Recent years have seen rapid strides in the level of sophistication of VLSI circuits. On the performance front, there is a vital need for techniques to design fast, low-power chips with minimum area for increasingly complex systems, while on the economic side there is the vastly increased pressure of time-to-market. These pressures have made the use of CAD tools mandatory in designing complex systems. Timing Analysis and Optimization of Sequential Circuits describes CAD algorithms for analyzing and optimizing the timing behavior of sequential circuits with special reference to performance parameters such as power and area. A unified approach to performance analysis and optimization of sequential circuits is presented. The state of the art in timing analysis and optimization techniques is described for circuits using edge-triggered or level-sensitive memory elements. Specific emphasis is placed on two methods that are true sequential timing optimizations techniques: retiming and clock skew optimization. Timing Analysis and Optimization of Sequential Circuits covers the following topics: Algorithms for sequential timing analysis Fast algorithms for clock skew optimization and their applications Efficient techniques for retiming large sequential circuits Coupling sequential and combinational optimizations. Timing Analysis and Optimization of Sequential Circuits is written for graduate students, researchers and professionals in the area of CAD for VLSI and VLSI circuit design.

Handbook of Algorithms for Physical Design Automation

Handbook of Algorithms for Physical Design Automation
Author: Charles J. Alpert,Dinesh P. Mehta,Sachin S. Sapatnekar
Publsiher: CRC Press
Total Pages: 1024
Release: 2008-11-12
Genre: Computers
ISBN: 9781420013481

Download Handbook of Algorithms for Physical Design Automation Book in PDF, Epub and Kindle

The physical design flow of any project depends upon the size of the design, the technology, the number of designers, the clock frequency, and the time to do the design. As technology advances and design-styles change, physical design flows are constantly reinvented as traditional phases are removed and new ones are added to accommodate changes in